wfstack: return whether pop is popping the last element
This page took 0.037491 seconds and 3 git commands to generate.