X-Git-Url: http://git.liburcu.org/?a=blobdiff_plain;f=instrumentation%2Fevents%2Flttng-module%2Fjbd.h;h=acc8a48d3c619e4357ce2f22844bac807fdb5195;hb=a6a26911cc7888458bd91feb433df584d7dcd5c8;hp=335be5cb6e74888059e7e7d8efd965740498a3f7;hpb=f127e61ee231d002fb9a7803643a157e06f6d2e2;p=lttng-modules.git diff --git a/instrumentation/events/lttng-module/jbd.h b/instrumentation/events/lttng-module/jbd.h index 335be5cb..acc8a48d 100644 --- a/instrumentation/events/lttng-module/jbd.h +++ b/instrumentation/events/lttng-module/jbd.h @@ -1,10 +1,11 @@ +/* SPDX-License-Identifier: GPL-2.0 */ #undef TRACE_SYSTEM #define TRACE_SYSTEM jbd #if !defined(LTTNG_TRACE_JBD_H) || defined(TRACE_HEADER_MULTI_READ) #define LTTNG_TRACE_JBD_H -#include "../../../probes/lttng-tracepoint-event.h" +#include #include #include @@ -146,20 +147,11 @@ LTTNG_TRACEPOINT_EVENT(jbd_update_superblock_end, TP_FIELDS( ctf_integer(dev_t, dev, journal->j_fs_dev->bd_dev) ctf_integer(int, wait, wait) - ), - - TP_fast_assign( - tp_assign(dev, journal->j_fs_dev->bd_dev) - tp_assign(wait, wait) - ), - - TP_printk("dev %d,%d wait %d", - MAJOR(__entry->dev), MINOR(__entry->dev), - __entry->wait) + ) ) #endif #endif /* LTTNG_TRACE_JBD_H */ /* This part must be outside protection */ -#include "../../../probes/define_trace.h" +#include